ASML:所有EUV客户均订购了下一代高NA极紫外光刻机 单价翻番到26亿

ASML:所有EUV客户均订购了下一代高NA极紫外光刻机单价翻番到26亿ASML(荷兰阿斯麦)正抓紧研制其下一代高NA(0.55数值孔径)的EUV极紫外光刻机,在发布最新财报期间,AMSL透露,其存量EUV客户均订购了新一代设备。具体来说,在Intel和台积电之后,三星、SK海力士、美光等也下单高NAEUV光刻机了。PC版:https://www.cnbeta.com/articles/soft/1329261.htm手机版:https://m.cnbeta.com/view/1329261.htm

相关推荐

封面图片

2nm芯片研发遭遇瓶颈:没ASML下一代NA EUV光刻机搞不掂

2nm芯片研发遭遇瓶颈:没ASML下一代NAEUV光刻机搞不掂在业内,比Intel、台积电、三星还要早就能接触到ASML光刻机新品的是比利时微电子研究中心(IMEC),虽然名气不大,但其实它是世界上最大的半导体专门研究机构。因为离得近,ASML的原型试做机,往往在完工后就第一时间送交IMEC评估尝鲜。日前,IMEC首席执行官LucVandenhove在公开路线图时表示,当前的EUV光刻设备其实可以响应到2nm的微缩水平,不过,想要超越,必须要靠下一代高NAEUV光刻机。他督促ASML在未来3年内,全力投产高NA光刻机。所谓高NA也就是光刻机的透镜和反射镜数值孔径达到0.55,进而增加光刻分辨率,以便制备更精密的为电路图像。当前的EUV光刻机均停留在0.33的水平。一切顺利的话,ASML会在明年推出其首款高NAEUV光刻机,Intel、三星和台积电都争相第一时间部署进厂,其中Intel下手最快。这款光刻机价值高达4亿美元(约合26亿元人民币),组装好的体积有双层巴士大、重超200吨。PC版:https://www.cnbeta.com/articles/soft/1302961.htm手机版:https://m.cnbeta.com/view/1302961.htm

封面图片

ASML公布下一代"Hyper-NA"极紫外光刻技术发展路线图

ASML公布下一代"Hyper-NA"极紫外光刻技术发展路线图Hyper-NA工具仍处于早期研究阶段,它将把数值孔径从High-NA的0.55提高到0.75,使芯片的晶体管密度在2030年代初超过High-NA的预计极限。更高的数值孔径可减少对增加复杂性和成本的多重图案技术的依赖。Hyper-NA为商业化带来了自身的挑战。主要障碍包括降低成像对比度的光偏振效应,这就需要偏振滤光片来降低光吞吐量。为了保持分辨率,抗蚀材料可能还需要变得更薄。虽然台积电等领先的超紫外芯片制造商可以利用现有的0.33NA超紫外工具,通过多图案化技术将扩展范围再扩大几个节点,但英特尔已采用0.55高-NA来避免这些复杂性。但是,随着High-NA达到物理极限,Hyper-NA很可能在本十年晚些时候成为整个行业的必备技术。除了Hyper-NA之外,除了昂贵的多光束电子光刻技术之外,目前几乎没有其他可供选择的图案化解决方案,而多光束电子光刻技术的吞吐量又比不上EUV光刻技术。为了继续经典的扩展,业界可能需要最终过渡到与硅相比具有更优越电子迁移率特性的新型沟道材料,这就需要新的沉积和蚀刻能力。...PC版:https://www.cnbeta.com.tw/articles/soft/1434626.htm手机版:https://m.cnbeta.com.tw/view/1434626.htm

封面图片

ASML 首次公开展示 High NA EUV 光刻机

ASML首次公开展示HighNAEUV光刻机2月10日,ASML在荷兰总部向媒体展示新一代HighNAEUV光刻机TwinscanEXE:5000。这台光刻机尺寸等同于一台双层巴士;重达150吨,相当于两架空客A320客机;价值高达3.5亿欧元(约合27亿元人民币);全套系统需要250个货箱装运,需要250名工程人员、历时6个月才能安装完成,不仅价格高昂也相当耗时。TwinscanEXE:5000可以制造8nm线宽电路,从而实现晶体管密度比前一代提高至2.9倍。https://laoyaoba.com/n/893942

封面图片

英特尔完成世界首台商用High NA EUV光刻机的组装,计划用于推动下一代芯片制造技术

英特尔完成世界首台商用HighNAEUV光刻机的组装,计划用于推动下一代芯片制造技术英特尔在其位于美国俄勒冈州希尔斯伯勒的FabD1X研发晶圆厂完成了世界首台商用HighNA(0.55NA)EUV光刻机的组装。这台型号为TWINSCANEXE:5000的光刻机是ASML的首代产品,价值约3.5亿美元。目前,该光刻机已进入光学系统校准阶段。High-NAEUV光刻机相较于现有的0.33NAEUV光刻机,在一维密度上拥有1.7倍的提升,意味着在二维尺度上可以实现190%的密度提升。这将允许在更精细的尺度上制造半导体,从而继续推动摩尔定律的发展。英特尔计划从2025年的18A新技术验证节点开始,在其先进芯片的开发和制造中同时使用0.55NA和0.33NA的EUV光刻机。线索:@ZaiHuabot投稿:@TNSubmbot频道:@TestFlightCN

封面图片

ASML High NA EUV光刻机晶圆制造速度提升150% 可打印8nm线宽

ASMLHighNAEUV光刻机晶圆制造速度提升150%可打印8nm线宽根据ASML前总裁兼首席技术官、现任公司顾问的MartinvandenBrink的说法,新的HighNAEUV光刻机晶圆生产速度达到了每小时400至500片晶圆,是当前标准EUV每小时200片晶圆的2-2.5倍的速度,即提升了100%至150%,将进一步提升产能,并降低成本。现阶段经过进一步调整,ASML已经可用其试验性质High-NAEUV光刻机打印生产8nm线宽,这是的新纪录,这打破了该公司在4月初当时创下的记录。当时ASML宣布,已使用位于ASML荷兰总部与imec联合实验室的试验型High-NAEUV光刻机打印了10nm线宽。就发展路线来说,ASML的标准EUV光刻机可以打印13.5nm的线宽,而新的High-NAEUV光刻机则是可以通过打印8nm线宽来创建更小的晶体管。ASML现在已经证明其设备可以满足其基本规格。MartinvandenBrink强调,ASML当前已经取得了进展,能够在整个打印线宽作业上将其低至8奈米记录,并进行校正,而且还具有一定程度的重叠覆盖。因此,ASML对HighNAEUV光刻机的发展充满信心,预计未来将能够在突破其极限。而除了ASML自己在进行HighNAEUV光刻机的测试之外,目前唯一安装完成HighNAEUV光刻机的英特尔,也在美国俄勒冈州的D1X工厂投入测试工作。预计将在Intel18A节点制程上进行技术的研发与训练工作,之后再将其投入到Intel14A节点制程的大量生产当中。MartinvandenBrink指出,ASML已经可以开发更新一代的Hyper-NAEUV光刻机了,以进一步扩展其High-NAEUV光刻机的潜在路线图。...PC版:https://www.cnbeta.com.tw/articles/soft/1432933.htm手机版:https://m.cnbeta.com.tw/view/1432933.htm

封面图片

ASML下代EUV光刻机年底问世:1nm工艺必备 售价直逼30亿

ASML下代EUV光刻机年底问世:1nm工艺必备售价直逼30亿根据ASML公司高管日前透露的消息,NA=0.55的EUV光刻机今年底会出货首个商用原型,2025年会正式量产。他没有公布具体哪家公司会首发NA=0.55光刻机,但之前英特尔公司表示他们会率先使用下代EUV光刻机,已经巨资提前下单。按照2025年出货的时间点来看,台积电、英特尔、三星的2nm级别工艺是赶不上的,最快也要到1.4nm工艺才能用上NA=0.55光刻机,未来生产1nm工艺则是不可少的设备。伴随技术提升的还有售价,由于更加复杂、精密,NA=0.55的EUV光刻机价格大幅上涨,具体多少不确定,此前消息称不低于4亿美元,人民币接近30亿元了,是现在的2-3倍。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。...PC版:https://www.cnbeta.com.tw/articles/soft/1365835.htm手机版:https://m.cnbeta.com.tw/view/1365835.htm

🔍 发送关键词来寻找群组、频道或视频。

启动SOSO机器人